lo_synk is following